mp3 | Магазин | Рефераты | Рецепты | Цветочки | Общение | Знакомства | Вебмастерам | Домой

Проектирование дискретного устройства (WinWord 97) [Курсовая]


запомнить в избранное
 
искать в этом разделе


ВНИМАНИЕ !!! Это сокращенная версия файла. Предназначена она только для того, чтобы вы могли предварительно ознакомиться с документом, перед тем как его скачать. Здесь нет картинок, не сохранен формат, шрифт, размеры и положение на странице.
Чтобы скачать полную версию, нажмите ссылки которые находятся чуть-чуть ниже (Info File Mail)
 Info File Mail 
Файл относится к разделу:
РАДИОЭЛЕКТРОHИКА, КОМПЬЮТЕРЫ И ПЕРИФЕРИЙHЫЕ УСТРОЙСТВА
Содержание
1. Задание на курсовой проект
2. Введение
3. Проектирование дискретного устройства на элементах малой интеграции.
3.1. Проектирование блока триггера.
3.2. Проектирование микроопераций
3.2.1. Микрооперация установки триггера в " 0 ".
3.2.2 Микрооперация записи данных.
3.2.3. Микрооперация сдвига кода влево на один разряд.
3.2.4. Логическая микрооперация ("И".
3.2.5. Микрооперация счета с параллельным переносом.
3.2.6. Микрооперация вычитание.
3.2.7. Микрооперация сравнения (>.
3.2.8. Микрооперация преобразования в дополнительный код.
3.2.9. Микрооперация свертки по модулю 2
(тип переноса последовательный (сквозной)
3.3. Построение объединенных функций возбуждения триггеров.
3.4. Расчет быстродействия ДУ.
4. Проектирование ДУ на БИС.
4.1. Проектирование схемы ДУ.
4.2. Карта программирования ПЗУ.
1. Задание на курсовой проект.
Спроектировать дискретное устройство (ДУ) выполняющее следующие действия :
* сброс элементов памяти
* запись значения входного слова в ДУ
* сдвиг кода в ДУ влево
* логическая операция "&" (логическое "И")
* счетчик -1) с параллельным переносом
* арифметическая операция вычитание.
* операция сравнения (>)
* преобразование в дополнительный код
* свертка по модулю 2 (тип переноса последовательный.
Дискретное устройство проектируется на JK - триггерах
Серия МИС 500, серия ПЗУ 1611
2. Введение.
При синтезе проектируемое устройство представляется в виде структуры, представленной на рисунке 2.1
рисунок 2.1.
Проектирование дискретного устройства сводится к синтезу элементарного дискретного устройства, обрабатывающего один бит информации и включающего один элемент памяти (триггер.
Входная комбинационная схема обеспечивает выполнение операций из множества Y, результатом которых являются соответствующие значения функций возбуждения f i для каждого из входов триггера:
- установка элементов памяти в нулевое состояние.
- запись входного слова в элементы памяти
- сдвиг влево
- логическую операцию
- декремент
- операция вычитания


подписаться на рассылку.
добавить в избранное.
нашли ошибки ?

Это место продается !!!

Ищу реферат (диплом) Если вы не можете найти реферат, то дайте в этом разделе объявление и возможно вам помогут :)
Предлагаю реферат (диплом) Если у вас есть свои рефераты и вы готовы помочь другим, то дайте в этом разделе свое объявление и к вам потянуться люди :)
Пополнить коллекцию Здесь вы можете пополнить нашу коллекцию своими рефератами.

mp3 | Магазин | Рефераты | Рецепты | Цветочки | Общение | Знакомства | Вебмастерам | Домой

время поиска - 0.04.